ecoRoute

This command is based on the Nanometer router.

You must first run ecoDefIn and ecoPlace before you use this command.

-cut_color_flip {0 1}: Fixes cut color DRC violations by flipping. When enabled 1, the ecoRoute command fixes the cut same-mask spacing violations by flipping the color of the cut.

-fix_drc: Fixes violations on existing RDC markers in the design. When enabled, it allows NanoRoute to fix only the DRC's on existing DRC markers in the design. If violations increase then the ecoRoute command reverts to the original shot of the design.

-handlePartition: For the nets in bierarchical parition design, the ECO routing performed is partition aware with this option.

-modifyOnlyLayers <bottomLayer:topLayer> Default: ECO routing can occure on any layer and any nets: Enable the NanoRoute router to remove sements of net routing in the specified layer range, from bottomLayer to topLayer.

-prototype: Enables prototyping while doing ECO routing. When specified, it enables NanoRoute to work on the nets selected to route and stop early before DRC cleanup to provide a quick snapshot for the  designer.  This  mode  is  intended  to  help  the designer know if the routing is possible and if the ECO can improve timing.

-target: Enables NanoRoute to work on the nets selected to route. In this mode, the router ignores the already existing DRC violation markers that are not on the ECO nets or are on nets selected to route.

-trim_layer_patch: Enables  the  ecoRoute command to only add trim and patch metals in a given area.

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章