modelsim 居然 error loading design?

經過一個學期的eda課程的學習,我終於把modelsim卸載了五次。


相信優不少的同學在使用modelsim時有遇到過這樣的問題,明明每一個設計文件都編譯通過,但是還是出現這樣一句話:

error loading design。

然後。。。。。就沒有然後了,沒有任何提示。


這時候,你可能需要做以下的事:

1.檢查文件是否未被包含且未加入工程。

2.檢查設計文件的端口聲明與實例化時的端口是否一致。

3.檢查設計文件的模塊名是否與實例化時的模塊名一致。

4.檢查未在頂層文件中修改的端口是否爲wire(被這個坑了兩週)。

5.去請教老師或大佬吧。



處女貼,請多多包涵。

皇叔於2018.6.19 17:06 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章