modelsim仿真帶有mif文件的rom

問題:你是否發現你能夠在quartus中自帶仿真軟件中仿真呢?但是卻不能再modelsim中仿真帶有mif文件的rom呢?

         Modelsim仿真包含rom文件的方法 如下

解決方案:

如果fpga邏輯中包含有rom的初始化數據,其文件後綴爲mif,mif含義爲memory initialization file 的縮寫,中文意思就是存儲器初始化文件。這種文件可以被Altera的quartus識別並讀取,但modelsim無法直接讀取這種格式的文件。需要將文件格式轉換爲hex才行。

mif文件是用來給Quautus綜合網表用的,而hex可以被用來作爲modelsim仿真的數據輸入用。換句話說modelisim對mif文件不支持,而只對hex文件支持。

用modelsim做功能仿真FPGA的IP核ROM的時候,ROM裏面需要存hex格式文件;而用門級或者時序仿真的時候這不需要,只需要直接給mif文件就行。我們應該都清楚,功能仿真是隻仿的.v文件,也就是設計文件,而門級或者時序仿真這是仿真綜合後的網表文件。

同時需要注意的點是對hex文件的路徑需要注意,如果放在FPGA工程裏無法讀取則需放到modelsim的工程根目錄下,這樣modelsim纔會正確的讀取數據源頭。不然會無法初始化數據,也就是讀取不到原始數據源。

注意點:一定要將你的hex文件放在modelsim仿真的目錄下面,和頂層文件放在同一個目錄中,不要放在fpga的工程中,否則就會出現找不到數據源的。
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章