modelsim仿真带有mif文件的rom

问题:你是否发现你能够在quartus中自带仿真软件中仿真呢?但是却不能再modelsim中仿真带有mif文件的rom呢?

         Modelsim仿真包含rom文件的方法 如下

解决方案:

如果fpga逻辑中包含有rom的初始化数据,其文件后缀为mif,mif含义为memory initialization file 的缩写,中文意思就是存储器初始化文件。这种文件可以被Altera的quartus识别并读取,但modelsim无法直接读取这种格式的文件。需要将文件格式转换为hex才行。

mif文件是用来给Quautus综合网表用的,而hex可以被用来作为modelsim仿真的数据输入用。换句话说modelisim对mif文件不支持,而只对hex文件支持。

用modelsim做功能仿真FPGA的IP核ROM的时候,ROM里面需要存hex格式文件;而用门级或者时序仿真的时候这不需要,只需要直接给mif文件就行。我们应该都清楚,功能仿真是只仿的.v文件,也就是设计文件,而门级或者时序仿真这是仿真综合后的网表文件。

同时需要注意的点是对hex文件的路径需要注意,如果放在FPGA工程里无法读取则需放到modelsim的工程根目录下,这样modelsim才会正确的读取数据源头。不然会无法初始化数据,也就是读取不到原始数据源。

注意点:一定要将你的hex文件放在modelsim仿真的目录下面,和顶层文件放在同一个目录中,不要放在fpga的工程中,否则就会出现找不到数据源的。
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章