VHDL語言學習(2)

1.數組類型使用和定義

Type   DataREG16 is array( natural range<>) of std_logic_vector(15 downto 0);

--自定義數組類型,每個數組元素的類型爲 std_logic_vector(15 downto 0)

signal RxDISft: DataREG16(15 downto 0);    -------定義數組下標爲15 downtown 0    

2.integer類型一般用於數值的操作,例如計數。

3.狀態機一般分爲三段式:1)狀態轉移 2)確定下一個狀態3)狀態幹什麼 ,一般用於組幀,拆幀,例如UDP的拆包,組包。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章