Quartus工程中各文件類型的含義



*.jic           JTAG Indirect Configuration File
*.pin           Pin-Out File
*.pof           Programmer Object File
*.qpf           Quartus II Project File
*.qsf           Quartus II Settings File
*.qws           Quartus II Workspace File
*.rpt           Text-Format Report File
*.sof           SRAM Object File
*.v, *.vh, *.verilog, *.vlg
                Verilog Design File
            




Quartus II工程文件的後綴含義
本文爲網絡整理,大部分內容來自網絡。
File Type
Extension
AHDL Include File
.inc
ATOM Netlist File
.atm
Block Design File
.bdf
Block Symbol File
.bsf
BSDL file
.bsd
Chain Description File
.cdf
Comma-Separated Value File
.csv
Component Declaration File
.cmp
Compressed Vector Waveform. File
.cvwf
Conversion Setup File
.cof
Cross-Reference File
.xrf
database files
.cdb,.hdb,.rdb,.tdb
DSP Block Region File
.macr
EDIF Input File
.edf,.edif,.edn
Global Clock File
.gclk
Graphic Design File
.gdf
HardCopy files
.datasheet,.sdo,.tcl,.vo
Hexadecimal (Intel-Format) File
.hex
Hexadecimal (Intel-Format) Output File
.hexout
HSPICE Simulation Deck File
.sp
HTML-Format Report File
.htm
I/O Pin State File
.ips
IBIS Output File
.ibs
In System Configuration File
.isc
Jam Byte Code File
.jbc
Jam File
.jam
JTAG Indirect Configuration File
.jic
Library Mapping File
.lmf
License File
license.dat
Logic Analyzer Interface File
.lai
Memory Initialization File
.mif
Memory Map File
.map
PartMiner edaXML-Format File
.xml
Pin-Out File
.pin
placement constraints file
.apc
Programmer Object File
.pof
programming files
.cdf,.cof
QMSG File
.qmsg
Quartus II Archive File
.qar
Quartus II Archive Log File
.qarlog
Quartus User-Defined Device File
.qud
Quartus II Default Settings File
.qdf
Quartus II Exported Partition File
.qxp
Quartus II Project File
.qpf
Quartus II Settings File
.qsf
Quartus II Workspace File
.qws
RAM Initialization File
.rif
Raw Binary File
.rbf
Raw Programming Data File
.rpd
Routing Constraints File
.rcf
Signal Activity File
.saf
SignalTap II File
.stp
Simulator Channel File
.scf
SRAM Object File
.sof
Standard Delay Format Output File
.sdo
Symbol File
.sym
Synopsys Design Constraints File
.sdc
Tab-Separated Value File
.txt
Tabular Text File
.ttf
Tcl Script. File
.tcl
Text Design File
.tdf
Text-Format Report File
.rpt
Text-Format Timing Summary File
.tan.summary
Timing Analysis Output File
.tao
Token File
ted.tok
Vector File
.vec
Vector Table Output File
.tbl
vector source files
.tbl,.vwf,.vec
Vector Waveform. File
.vwf
Verilog Design File
.v,.vh,.verilog,.vlg
Verilog Output File
.vo
Verilog Quartus Mapping File
.vqm
Verilog Test Bench File
.vt
Value Change Dump File
.vcd
version-compatible database files
.atm,.hdbx,.rcf,.xml
VHDL Design File
.vhd,.vhdl
VHDL Output File
.vho
VHDL Test Bench File
.vht
XML files
.cof,.stp,.xml
waveform. files
.scf,.stp,.tbl,.vec,.vwf
 


上面這些文件可以分爲五類:
    1. 編譯必需的文件:設計文件(.gdf、.bdf、EDIF輸入文件、.tdf、verilog設計文件、.vqm、.vt、VHDL設計文件、. vht)、存儲器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。
    2. 編譯過程中生成的中間文件(.eqn文件和db目錄下的所有文件)
    3. 編譯結束後生成的報告文件(.rpt、.qsmg等)
    4. 根據個人使用習慣生成的界面配置文件(.qws等)
    5. 編程文件(.sof、.pof、.ttf等)
    上面分類中的第一類文件是一定要保留的;第二類文件在編譯過程中會根據第一類文件生成,不需要保留;第三類文件會根據第一類文件的改變而變化,反映了編譯後的結果,可以視需要保留;第四類文件保存了個人使用偏好,也可以視需要保留;第五類文件是編譯的結果,一定要保留。
    在使用版本控制工具時,我通常保留第一類、第三類和第五類文件。但是第三類文件通常很少被反覆使用。
    所以,爲了維護一個最小工程,第一類和第五類文件是一定要保留的。 
    此外,當一個項目的設置內容需要轉移給另一個項目時,例如引腳分配信息,需要轉移.tcl文件而不是.qsf文件。.tcl文件與.qsf文件的關係以及如何生成.tcl文件我會在以後的日誌中給出。






Source files (always under source control) 
*.bdf Schematic
*.bsf Symbols
*.inc AHDL include
*.lai Logic analyzer interface
*.mif Memory init
*.ptf SOPC file (old but recommended)
*.qip MegaWizard IP
*.sopc SOPC file
*.tcl Scripts
*.tdf AHDL source
*.v Verilog source
*.vhd VHDL source
*.vwf Simulation stimuli




Assignments & settings (always under source control)


Name.cdf Programming chain settings編程設置文件
Name.qdf Default assignments自動更新,保存全局assignments。
Name.qpf Project file
Name.qsf Assignments (except timing)自動更新,也可以作爲導入文件,但是文件名不要一樣。
Name.sdc Timing constrains. This file should never be written directly by TimeQuest, since it might get randomly rearranged. Write to a separate file and copy-paste into main file.
Name.srf Message supressions




Output files (normally under source control)


NOTE: Do not generate rbf or ttf files unless they are used
Name.pof Programming file
Name.rbf Programming file (normally not present)
Name.sof Programming file
Name.ttf Output in text format (normally not present)


Special cases (consider source control)


\db\*.* Improves quartus compilation time. Lots of files. If and only if incremental compilation will be used this should be under source control.
\simulation\*.* This folder is for ModelSim. Quartus Simulator works without this folder. If ModelSim is used this should be under source control.




Report files (normally not under source control)


*.done Date
*.qarlog Log of project archiving
*.rpt Reports
*.summary Report summaries




Generated files (never under source control)


\timing\*.* No settings, just generated timing stuff
Name.qws GUI settings (window positions is a user rather than project option)
*.cmp Component declarations
*.dpf -幾乎不變,爲一個xml文件,當assignment pins時就會產生
*.eqn Equations
*.html -
*.jpg Images generated by some megawizards?
*.pin -
*.ppf -




Backup files (never under source control)


*.bak Backup of single files
*.qar Quartus archive
 
 
原文地址:http://www.alteraforum.com/forum/showthread.php?p=12821




補充: 
 .v ------------------ verilog 文件
 .vhd ----------------- vhdl 文件
 .qpf ----------------- quartus 工程文件
 .vwf ----------------- 矢量波形文件
 .bsf ----------------- 塊符號文件
 
 .rpt ----------------- 報告文件
 .qsf ----------------- quartus配置文件
 .summary ----------- summary
 .pin ----------------- 引腳文件
 .sdo ----------------- delay
 .vo ------- ----------- gate level
 .qws ----------------- 工作區文件
 .pof ----------------- 編程對象文件
 .sof ------------------ SRAM對象文件
 .qar ------------------工程歸檔文件
 .SDK -----------------system development kit
 .mif ------------------memory initial file
 .ISO ----------------- 是ISO9660標準下的文件形式
 .srec ----------------S record
 .tdf ------------------text design file
 .gdf -----------------graphic desing file
 HAL------------------Nios II運行庫(或者稱爲硬件抽象層(HAL))
 .tcl-------------------tool command language 

發佈了50 篇原創文章 · 獲贊 5 · 訪問量 7萬+
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章