《VHDL與數字系統設計》學習筆記(一)——Hello World

《VHDL與數字系統設計》學習筆記(一)

FPGA與VHDL初嘗試

筆者暑期購進鋯石FPGA開發板,從此進入了FPGA的新世界。鋯石科技官方提供的代碼爲Verilog語言編寫,然而筆者的課程所學硬件描述語言爲VHDL,因此準備在此開發板上用VHDL編寫鋯石科技所提供的項目。

點亮LED

開發板提供8個LED,4個電容觸摸按鍵以及4個普通按鍵,筆者想通過每個按鍵控制相應的LED。

代碼

library ieee;
use ieee.std_logic_1164.all;

entity led is
	port(KEY0, KEY1, KEY2, KEY3, KEY4, KEY5, KEY6, KEY7 : in std_logic;
		  LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7 : out std_logic);
end led;

architecture arch of led is
	begin
	LED0 <= not KEY0;
	LED1 <= not KEY1;
	LED2 <= not KEY2;
	LED3 <= not KEY3;
	LED4 <= not KEY4;
	LED5 <= not KEY5;
	LED6 <= not KEY6;
	LED7 <= not KEY7;
end arch;

效果圖

按下按鍵2的效果
同時按下三個按鍵的效果

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章