NCO仿真

這幾天碰到了一個NCO仿真的問題,網上說法比較少,這篇文章供大家參考。本人採用的開發環境是modelsim-SE10.1c,Quartus II 13.1版本,quartus平臺對第三方仿真工具的支持比較薄弱,需要進行大量的操作,這篇文章也對這一系列做系統的介紹。一般的IPcore,通常quartus只給你個.v文件,你自己去例化,仿真即可,但是,NCO這個IPcore感覺比較複雜,他吐出來的東西非常多,而且需要配置仿真庫,目前網上資源比較少,這篇文章講述的是比較詳細,比較具體的,我們的工程參考一位大兄弟的做法,這裏給出鏈接https://blog.csdn.net/baijingdong/article/details/8095590

一、開發平臺的搭建

modelsimSE10.1c是之前使用xilinx平臺開發的時候安裝的,開發者購買開發板的時候都會進行安裝 ,這裏不做介紹,quartus使用的是quartusII13.1,給出quartus13.1的永久破解license鏈接:https://pan.baidu.com/s/1dUPj8ZMFqmAcqzxEOt8EkQ 
提取碼:kv4b,這個License裏面包含了幾乎所有的IPcore,使用該license,用戶只需要對裏面的HOSTID進行替換,替換爲你自己quartus軟件安裝後的那個license setup裏面的hostid的第一個即可,具體的自行百度。我開發環境都搭建好了,最主要的問題是怎麼仿真,下面不在環境上下功夫,直接給過程。

二、仿真過程

 1、quartus建立工程

首先在CSDN目錄下面,創建目錄PAR RTL SIM,我裏面會比較亂,姑且先創建吧。,PAR下面還有一個目錄名叫ipcore

打開quartus使用project wizard創建工程,工程名叫nco

工程創建過程一路默認,我們只做仿真,選好自己的芯片。

創建好了,然後添加nco這個ipcore

在配置IPcore的時候,選擇這個

出現Generating MegaCore function top-level,卡在這個地方不動,殺死quartus_map.exe這個進程。

 

結束

然後就是例化,testbench,本文不做介紹了,參考前面的大兄弟

在開啓設置了modelsim仿真的情況變異,出現這種情況

要進行如下操作

編譯的時候不要選擇simulation,是不是很神奇

然後編譯通過,我們就進入了仿真過程

2、modelsim仿真NCO

在SIM文件下編寫testbench,文件是nco_tb.v

然後在quartus裏面對testbench進行配置,然後從quartus啓動modelsim,這一步可能是爲了創建PAR目錄下的simulation這個文件夾,這可能是modelsim的工程目錄,有一點很重要,我的modelsim是沒有針對Altera和quartus進行任何配置!

然後你會發現你的modelsim報錯了,畢竟nco功能仿真也需要一些庫文件。現在關閉modelsim

關閉modelsim後,重新啓動modelsim,然後將modelsim的目錄切換到simulation/modelsim。通過這裏我發現前面那步可能是爲了產生這個工作目錄或者工程的。

然後添加庫

這些庫文件在這個文件夾下

庫在這個verilog_libs裏面,依次添加即可

然後就是編譯!nco文件凸出來的東西里有一個.vo文件,請看(我的NCO放在了ipcore文件夾下)

我們在modelsim裏面編譯,點擊compile,進入選擇編譯文件部分,選擇這個.vo文件,點擊編譯,然後選擇nco_tb.v,編譯,這兩個文件編譯結束後,開始仿真,選擇nco_tb.v進行仿真

發現進來了

給大家放結果

三、總結

本論文給出了NCO這個IPcore的仿真過程,給出了完整的操作過程。其他複雜的IPcore在Quartus仿真不通過的情況,估計可以參考這個過程。

 

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章