xilinx FPGA ROM IP核

xilinx FPGA 之 ROM IP核的使用

背景:需要設計一個表,類似於根據查找sin函數值,其中一種實現方式就是使用ROM IP核,於是記錄一下使用的過程。

  1. 配置IP核
  2. 添加coe文件
  3. 編寫測試程序

配置IP核

https://blog.csdn.net/weixin_34342207/article/details/94157229

添加coe文件

https://blog.csdn.net/kebu12345678/article/details/81304213

編寫測試程序

通過對TXT按行讀取實現對ROM IP 核的地址的遍歷,從而觀察輸出波形是否爲正確的波形,從而確定ROM的使用是否正確。

發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章