數字IC設計經典書籍

1 《Verilog HDL高級數字設計》

  中文版和原著。這本書本人以爲是講Verilog方面的最好的一本書,看完此書後,相信大家的code水平會有很大提高。書中例子及其豐富,涵蓋了RISC、UART、異步FIFO、數字信號處理、乘法器和觸發器,其中一章講一個簡單RISC的部分尤其值得仔細揣摩,可以令大家受益匪淺。書中很好的貫穿了code風格與綜合電路的關係,以及狀態機的寫法。看完此書你會發現,原來任何功能都可以通過狀態機來實現,而狀態機的寫法又那麼像八股文。最關鍵的部分還是通過該模塊的功能要求畫出ASM圖,其實到這裏,已經和軟件差不多了。

 另外就是testbench的編寫,書中有大量的測試代碼,編寫的極具技巧,充分體現了測試的思想。書中的代碼都可以在網站上下載到,學習起來很方便。

2.《設計與驗證verilog hdl》

     這本書是先鋒工作室出版的一本Verilog及EDA應用書籍,現在市場上關於 Verilog 的書籍大多數是介紹語法和建模的,沒有真正體現出理論性與實用性的結合。重點推薦第五章《RTL 設計與編碼指導》和第六章《如何寫好狀態機》。

3.《專用集成電路設計實用教程》 浙江大學出版社

 這本書是我見過的講綜合的比較全面、系統的書。作者顯然在DC方面有極其豐富的經驗。全書娓娓道來,把綜合的原理和應用講的一清二楚。從Design Compiler 到Prime Time,從DFT Compiler到Power Compiler,涵蓋和數字前端的各個方面。書中圖文並茂,綜合中可能遇到的問題都有所提到,包括如何優化電路和消除時序違例。尤其是對DFT Compiler的講解,本人以爲大公司的培訓文檔也不過如此。

4.《數字集成電路–系統與設計》&《數字集成電路分析與設計深亞微米工藝》

中文版和原著,都很搶手。內容差不多,都是數字IC的入門教程,涵蓋了從器件到連線到系統的各個方面,以及設計時應該注意的方面,經典的解決方案,每一章拿出來都是一個研究課題。我有一個師兄在西安華訊工作,聊天時就說工程中遇到的絕大部分問題在這兩本書上都提到過。不過非微電專業的同學可能剛一看比較難,因爲大部分內容是偏底層的理論,需要仔細看,邊看邊思考。

5.《SOC設計方法與實現》郭煒等 電子工業出版社

     這本書比較基礎的介紹了數字IC設計的流程和特點,可以讓初學者在最快的時間內形成一個體系架構。

    《SoC設計方法與實現》結合SoC設計的整體流程,對SoC設計方法學及如何實現進行了全面介紹。全書共分14章,主要內容包括:SoC的設計流程、SoC的架構設計、電子級系統設計、IP核的設計與選擇、RTL代碼編寫指南、先進的驗證方法、低功耗設計技術、可測性設計技術及後端設計的挑戰。書中不僅融入了很多來自於工業界的實踐經驗,而且介紹了SoC設計領域的最新成果,可以幫助讀者掌握工業化的解決方案,使讀者能夠及時瞭解SoC設計方法的最新進展。

6.《數字IC設計—方法、技巧與實踐》唐杉,徐強,王莉薇

  本書內容主要是數字芯片前端設計,不涉及模擬或是混合電路的芯片設計,而前端是指在進行物理設計(佈局佈線)之前的內容。

  本書首先介紹了和芯片設計相關的一些背景知識。然後,使用一章的篇幅介紹芯片設計的流程和各個階段使用的工具。之後的章節,本書就根據芯片設計的流程逐步介紹前端設計需要的知識。其中第3章爲構架設計,比較詳盡地介紹了構架設計的任務,一些應當考慮的問題和構架設計的方法。第4章是RTL設計與仿真。首先介紹的是一些RTL的設計規則;之後,討論瞭如何在RTL設計中考慮綜合和後端設計的問題;然後,給出了一些最常見的設計實例和代碼;最後,介紹了仿真的相關知識。第5章爲邏輯綜合和相關技術。主要介紹了綜合工具的功能和基本使用方法,包括基本的綜合和優化的方法,以及和綜合關係密切的靜態時間分析和一致檢查技術。最後一章介紹了芯片設計的項目管理。

本書適於從事通信技術,電子、微電子技術領域內的數字集成電路設計及系統設計的工程題師、研究人員以及人關專業師生參考。

7.《計算機組織與體系結構性能設計》

 現在出到第七版。這是計算機體系結構方面世界公認的經典教材。有些人覺着這是3系看的書。其實我覺着體系結構是我們國內做IC設計和國外IC設計比最大的缺陷,整個芯片組織的不好,體系結構不夠健壯,單個module做的再精細也沒什麼大用處。這也是爲什麼我們的IC設計只能跟着國外的標準和協議走的原因。現在國內的IC設計現狀就是,別人把一個系統或體系做成標準和協議,我們把它讀懂,按照人家規定的一點一點寫出代碼,這其實是很悲慘的一件事情。

8.《計算機系統組成與體系結構》

  《計算機體系結構:量化研究方法(第5版)》是最權威的計算機體系結構著作,是久負盛名的經典作品。

  書中系統地介紹了計算機系統的設計基礎、指令集系統結構、流水線和指令集並行技術、層次化存儲系統與存儲設備、互連網絡以及多處理器系統等重要內容。在這個最新版中,作者增加了當前炙手可熱的雲計算和手機客戶端技術等相關內容,探討了在手機、平板電腦、筆記本電腦和其他移動計算設備上雲計算的軟硬件實現方式。

8.《Reuse Methodology Manual FOR SYSTEM-ON-A-CHIP DESIGNS》

  經典數字IC設計聖經,具體不多說,多看幾遍,可以讓你的SoC設計從理論上有較大的提高.

 Reuse Methodology Manual for System-on-a-Chip Designs, Third Edition outlines a set of best practices for creating reusable designs for use in an SoC design methodology. These practices are based on the authors' experience in developing reusable designs, as well as the experience of design teams in many companies around the world. Silicon and tool technologies move so quickly that many of the details of design-for-reuse will undoubtedly continue to evolve over time. But the fundamental aspects of the methodology described in this book have become widely adopted and are likely to form the foundation of chip design for some time to come.

9.《Verilog編程藝術》

     內容面向工程實際,講了不少實際項目中遇到的問題及設計技巧,挺有參考價值,相比於一般的教科書,這本書更加註重Verilog編程的方法論和實用性。適合具有一定verilog基礎的人閱讀,可作爲ASIC開發人員和管理人員的參考書,也可作爲電子類專業研究生的參考書,可以進一步提高讀者的verilog編程能力。

     比較深入的探討如何避免使用易混淆和易錯誤的語句,主要分爲七個部分:設計原則、語言通行、書寫文檔、高級設計、時鐘和復位、驗證之路、其他部分。本書對這些部分做了重點的探討:verilog編碼風格、verilog-2001的新特性、簡潔高效的編程、容易出錯的語言元素、可配置設計、時鐘生成、復位設計、驗證方法等。另外,本書還對systemverilog做了簡單的介紹。

10.《硬件架構的藝術:數字電路的設計方法與技術》阿羅拉 (Mohit Arora)

  本書揭示硬件架構的設計藝術,涵蓋作者從事芯片設計行業十多年的經驗和研究成果。本書共分9章,第1章介紹亞穩態的概念、量化方法和減少其影響的技術;第2章介紹同步設計的時鐘技術,並提出可行的時鐘方案以及系統復位策略。第3章介紹在設計中使用異步時鐘或“處理多個時鐘”時會出現的問題及解決方法。第4章介紹時鐘分頻器的各個方面和實現方法。第5章講述低功耗設計技術,以減少動態和靜態功耗。第6章介紹如何把流水線技術應用在處理器的設計中,從而提高性能;第7章討論使用最佳字節順序的方法;第8章闡述去抖動技術,以消除毛刺和噪聲。第9章介紹電磁干擾的原理、規程、標準和認證,以及電磁干擾的影響因素和減少電磁干擾的方法。
發表評論
所有評論
還沒有人評論,想成為第一個評論的人麼? 請在上方評論欄輸入並且點擊發布.
相關文章